最近一段时间,由于对各种芯片的需求激增,导致12英寸半导体设备、光刻掩模设备、晶圆材料和其他产品的极度短缺,交货期普遍延长。

在过去的几年里,8英寸设备一直供不应求,现在轮到12英寸了。传统上12英寸设备的交付周期为3至6个月,部分系统的交付周期更长。如今,购买极紫外(EUV)光刻机可能需要一年或更长时间。沉积、蚀刻和其他设备的交付周期都普遍延长。

代工Fab设备包含了大量的组件和子系统,零部件的供应成为问题。更糟的是,由于Covid造成的旅行限制,供应商在工厂中安装设备也遭遇了延误。

12英寸设备交付周期过长,影响的不仅仅是某一特定设备。晶圆厂需要一定数量的不同类型的设备来加工芯片。如果没有设备,他们可能会错过向客户交付IC的时间表。许多有远见的芯片制造商提前计划,购买足够的设备,发货周期得到满足。但很多人则没这个幸运了。

设备短缺并非新鲜事。在过去六年中,市场对模拟、射频相关芯片的需求不断增长,造成了8英寸产能和设备的短缺。在同一时期,12英寸设备市场表现强劲,尽管需求情况遵循传统模式。现在,12英寸晶圆厂产能紧张,设备交付周期延长。

当前的繁荣周期让许多人措手不及。2020年初,由于Covid-19流行,芯片需求下降。但到了2020年中期,由于家庭经济推动了对电脑和电视的需求,集成电路市场出现反弹,刺激了芯片需求,许多设备供应商突然看到了订单激增的情况。

2021年第一季度,芯片需求还在增长,汽车和智能手机的需求正在回升,但汽车芯片极度短缺。英特尔、三星、台积电、联电等正在扩大其晶圆生产能力,推动了对更多晶圆制造设备(WFE)的需求。Evercore ISI数据显示,2021年WFE市场总额预计将达到750亿至800亿美元,而2020年为610亿美元。

“回顾设备的最新动向,现在可称谓是半导体设备的黄金时代,”Vercore ISI董事总经理CJ Muse说。“WFE(晶圆制造设备)在过去两三年中的显著提升是由逻辑芯片代工主导的。我们看到,芯片和WFE的需求不断上升,加上与Covid相关的数字化,几乎遍及所有垂直行业。包括美国和欧洲对本地化制造的渴望,所有这些都推动了WFE需求的提升,超过了人们12到24个月前的预期。”

掩膜/硅片:供应链瓶颈

如今,许多芯片制造商在全球拥有并运营着大量8英寸和12英寸的晶圆厂。8英寸用于制造成熟工艺的芯片,从350nm到90nm不等。

最先进的12英寸晶圆厂,用于在7nm和5nm加工最先进的芯片。这些晶圆厂也在65nm到28nm的成熟节点上加工芯片。

不仅8英寸产能紧张,12英寸也紧张。“在过去18个月里,我们看到12英寸的产能变得极度紧张,”联电副总裁Walter Ng表示。“一些关键的行业趋势推动了大量需求。除了5G、支持5G的智能手机,混合动力汽车和电动汽车的快速增长也带来了需求。新冠推动的家庭经济,在家里上课、上班,带来了对PC、网络计算机和平板电脑的需求。”

对汽车和其他芯片的需求加剧了晶圆厂的产能紧张状况。所有这些都会影响集成电路的供应链,尤其是对12英寸FAB的供应链。芯片制造是一个复杂的过程,它始于两个关键材料-光掩模和硅片。两者都是在不同的生态系统中分别制造的。如今,这两个领域都存在供应链瓶颈。

在一个基本的光掩模流程中,一个IC供应商使用EDA软件工具设计一个芯片。然后,芯片设计被转换成一个文件格式,然后被输送到光掩模供应商。光掩模是IC设计的主模板,由给定IC设计的图案组成。

光掩模供应商生产两种类型的光罩-光学和EUV。光学掩膜在工业中使用多年,由玻璃基板上不透明的铬层组成。

EUV掩模是不同的,它与EUV光刻机配合使用,后者可以在7nm及以下形成微小特征尺寸。EUV掩模由40到50层硅和钼在衬底上交替形成的薄层组成。

制作EUV光罩很复杂,它需要各种昂贵的设备。先进芯片制造商都拥有自己光刻掩模业务,可以生产EUV掩模。这个名单里只有英特尔、三星和台积电三家。

打开网易新闻 查看更多图片

▲ EUV光罩制造步骤(来源:Sematech)

EUV掩模工艺流程从一个空白掩模或衬底开始。然后,使用一个称为多光束掩模写入器的系统对空白进行图形化。D2S首席执行官Aki Fujimura说:“EUV需要多光束掩模器有两个原因,一是图形的复杂度。二是在多波束计算机上,写入时间与图形数无关。”

英特尔的IMS纳米制造部门销售多光束掩模写入器,而NuFlare正在开发。设备行业消息人士称,IMS设备的需求旺盛,交付周期为12至18个月。“光罩设备市场,包括光罩写入器市场正在蓬勃发展,设备需求几乎翻了一番。”IMS首席执行官Elmar Platzgummer说。

同时,在工艺过程中,还要检测EUV面罩是否存在缺陷。一家供应商Lasertec开发了一种光化性图形掩模检测系统,它使用与EUV光刻相同的13.5nm波长。光化检验被用来检测EUV光罩缺陷。

消息人士称,Lasertec光化系统的交付周期为12至18个月。相比之下,Lasertec光化检测系统的交付周期为3到6个月。

除了光掩模,硅片也是制造芯片的关键部件。在供应链的另一部分,硅片供应商生产各种尺寸的裸晶片,如200mm、300mm等。

新越(Shin-Etsu)、胜高(Sumco)、GlobalWafers、Siltronic、SK Siltron、Soitec是主要的晶圆材料供应商。(GlobalWafers正在收购Siltronic。)

目前,用于逻辑芯片的外延片需求旺盛,而用于内存的抛光片目前供应很充足。“300毫米外延片的供应非常紧张,供不应求,处于短缺状态,”SEMI的分析师Clark Tseng表示。“抛光片还不短缺。然而,随着未来几个季度对内存(DRAM和NAND)的需求开始增加,供需平衡将向短缺倾斜。”

EUV光刻机短缺


一旦硅片被生产出来,它们就会被运到芯片制造商那里,然后将这些硅片加工成芯片。同时掩膜制造商将成品光罩发到代工厂。

代工厂制造芯片很复杂。为了制造一个先进的逻辑器件,晶圆在晶圆厂要使用各种设备进行600到1000个或更多的工艺步骤。一个新的300mm晶圆厂的起价是100亿美元或更多,其中很大一部分是设备成本。例如一个月产能5万片的晶圆厂,工厂可能需要的设备规模如下:

• 50台光刻机,以及晶圆传输带

• 10台大束流、8台中束流离子注入机

• 40台蚀刻机

• 30台CVD设备

除了上述主要设备,还需要其他设备。芯片类型不同工艺流程也不同。一般来说,要制造芯片,第一步是在硅片上沉积一层二氧化硅,然后再沉积一层氮化物。

然后将晶圆插入一个称为涂布机/显影机的系统中。在这个系统中,光刻胶(一种光敏材料)被涂到晶圆上。晶圆高速旋转,让抗蚀剂覆盖整个晶圆。

下一步是曝光。晶圆和光罩放置在光刻机中。光刻机透过掩模将光线投射到晶圆上,根据给定的设计在晶圆上创建图形。

多年来,芯片制造商使用基于光学的光刻系统在芯片上绘制图形。当今最先进的光学光刻机使用193nm波长在芯片上绘制最小的特征尺寸。

采用多图形发生器,芯片制造商将193nm浸没光刻延至7nm。但是在今天的5nm节点上,这些技术的使用难度太大了。EUV光刻技术简化了这一工艺,使芯片制造商能够在7nm及以下范围内制作出最小的特性尺寸。

2018年,三星和台积电分别在7nm节点上引入了EUV光刻技术。现在,两家厂商都在使用5nm的EUV技术来生产芯片。英特尔计划在7nm处引入EUV。三星、SKhynix和其他公司正在为DRAM制造引入EUV。

芯片制造商使用的是ASML最新的EUV光刻机(NXE:3400C)进行生产。该系统采用0.33数值孔径透镜,分辨率为13nm,每小时可处理135到145片晶圆。今年晚些时候,ASML计划推出NXE的升级版本NXE:3600D,吞吐量为每小时160片。

ASML计划在2021年出货40套EUV系统,2022年再出货55套。“我们看到所有细分市场和我们的产品组合的需求都在显著增长。”ASML总裁兼首席执行官Peter Wennink说。

打开网易新闻 查看更多图片

▲ 晶圆制造工艺流程图(来源:TU Wien/微电子研究所)

但市场对EUV光刻机的需求超过了供应。“他们有一个明确的目标要求,规划到2023年的EUV,”Evercore ISI的Muse说。“2022年有70多台EUV设备的需求。ASML将努力达到55台的产能,所以供应是一个问题。”

EUV系统是复杂的,有来自不同供应商的数千个不同组件。例如蔡司的透镜,也许是EUV工具中最复杂的子系统。“栅控项目是卡尔蔡司的光学系统,”Muse说。“交货期很长。制造镜片的机器的生产周期甚至更长。”

因此,获得EUV的等待时间很长。“EUV基本是12到15个月,”Muse说。“浸没式机台时间为6到9个月。非浸没式DUV或 i-line大概是六个月。浸没式,如果你今天来找他们,你要等到2022年上半年才能得到设备。”

沉积/蚀刻需求


在光刻曝光之后,晶圆经历各种蚀刻和沉积步骤。沉积将材料沉积在晶圆上,而蚀刻则将其去除。

对沉积、蚀刻和其他制造设备的需求非常旺盛。“在推动WFE强劲增长的过程中,有几个因素在起作用,”Lam Research总裁兼首席执行官Tim Archer在最近的一次电话会议上表示。“首先,AI、5G和IoT等长期顺风继续加强。第二,先进半导体器件制造的复杂性继续快速增加,导致各个领域的设备产能都在上升。”

总的来说,TEL预计2021年WFE将增长30%。“虽然在逻辑/代工方面的投资是可观的,但2021年WFE增长的主要驱动力是DRAM。尽管一些芯片制造商将EUV引入DRAM制造业,层数可能有限。因此,蚀刻和沉积将与DRAM的WFE保持一致,”TEL America副总裁兼副总经理Ben Rathsack说。

“另一方面,逻辑/代工公司在尖端节点上采用了更多的EUV。在这个非常前沿的技术中,蚀刻和沉积的发展速度可能不及逻辑/代工的WFE,”Rathsack说。“不过,更成熟的节点也有很强的需求,根本不需要EUV。因此,在逻辑/代工领域,蚀刻和沉积需求将保持强劲。就NAND而言,它的总投资增长速度不如其他应用。然而,由于3D NAND的规模是由堆叠而不是小型化驱动的,因此蚀刻和沉积投资正在快速增长。总之,存储器中对蚀刻和沉积的需求正在增长,而逻辑/代工领域的需求仍然强劲。”

然而,沉积和蚀刻设备的交货周期也在增加。“蚀刻和沉积的标准化订货时间为3至6个月。现在我们大概在6个多月,”Evercore ISI的Muse说。

不是所有的蚀刻和沉积系统都一样。在许多工艺中,芯片制造商使用化学气相沉积(CVD)方法在芯片上沉积材料。某些工艺需要不同的系统,称为原子层沉积(ALD)工具,它一次沉积一层材料。

也有不同类型的蚀刻工具。主流的刻蚀设备称为反应离子刻蚀(RIE)系统。该系统可连续移除物料。芯片制造商还使用原子层蚀刻(ALE)系统,在原子水平上选择性地去除材料。

蚀刻供应商正在扩大他们的产品组合。最近,TEL推出了下一代蚀刻平台。这个系统被称为Episode UL,可以容纳4到12个腔室。腔室的数量和配置取决于工艺。

这些腔室排列成两行,而不是集群式设计。这减少了洁净室和公用设施区域每个腔室的占地面积。

“我们的蚀刻和沉积的制造提前期大约是从收到订单到发货的三个月。实际装配时间要短得多,”TEL的Ratsack说。

为了满足对其沉积、蚀刻和其他产品的需求,TEL正在位于Tohoku和Yamanashi的两个新制造厂加紧生产。“我们在2020年建立了两个工厂。有了这两个新工厂,我们的生产能力可以分别提高两倍和1.5倍。我们在Tohoku制造沉积,在Yamanashi做单晶圆沉积、化学干法蚀刻、晶圆探针和FPD干法蚀刻,”Rathsack说。

同时,Lam Research最近为它的Sense.i蚀刻平台发布了一个新的腔室。这种被称为Vantex的腔体,能够使用比以前更高的射频功率水平,以高吞吐量刻蚀高宽高比特性的晶圆。

Lam也在扩张。去年,该公司宣布计划在马来西亚槟城新建一家生产工厂。



工艺控制


检验和计量在制造工艺流程中也很重要。检测系统被用来定位芯片中的微小缺陷。计量设备用于测量结构。芯片制造商使用许多不同的计量设备,如CD-sem、光学和X射线系统。

检验和计量设备的需求量都很大。例如,KLA的设备交付周期通常为6个月左右,但随着需求的增长,这些数字正在发生变化。”他们在下半年增加产能以满足需求。他们试图用6个月的时间来管理自己的业务,现在他们的交付周期是7到8个月,”EvercoreISI的Muse说。

KLA正在预见到设备需求急剧增加。“我们的客户正在增加其战略资本支出投资,以应对这些增长的市场,同时继续投资于领先的研发工作,”KLA首席执行官Rick Wallace在最近的一次电话会议上表示。

计量和检测系统供应商OntoInnovation也看到了类似的趋势,并表示正在跟上需求。“我们建立预测模型是为了保持交付周期的一致性,”Onto的高级主管Mike Sheaffer说。“这意味着为我们的供应商创造了长期交货期组件的预测,以便我们能够满足不断增长的需求。随着我们成为客户更大、更具战略意义的供应商,我们能够与最大的客户签订批量采购协议/预测,使我们能够开始为其所需的装机日期构建系统。”

结 论

没有迹象表明200mm和300mm晶圆厂的芯片需求正在放缓。但是,动力学机制可能会在一夜之间发生变化。

与此同时,芯片制造商需要提前计划。他们的客户也是如此。他们都不想失去任何机会。■

(原文编译自:SEMICONDUCTOR ENGINEERING,BY: MARK LAPEDUS)

智慧家庭产业是5G 物联网最大的应用,也是中国200年来第一次诞生的创新科技产业,这个科技产业是依据物联网的互联互通能力,将智能硬件构建成场景,联通了数字,数字又驱动了新型的自动化服务。一个创新科技产业即将到来,我们如何面对?

来点个在看,一起玩耍吧