打开网易新闻 查看更多图片

距离2nm制程量产还有一年左右的时间,当下,对于台积电、三星和英特尔这三大玩家来说,都进入了试产准备期,新一轮先进制程市场争夺战一触即发。

经过多年的技术积累、发展和追赶,在工艺成熟度和良率方面,三星、英特尔与台积电的差距越来越小了,在2nm时代,台积电依然占据优势地位的局面可以预见,但与5nm和3nm时期相比,市场竞争恐怕会激烈得多。

01

三大玩家的2nm技术路线

在发展2nm制程技术方面,台积电、三星和英特尔既有相同点,也有不同之处,总体来看,台积电相对稳健,英特尔相对激进,三星则处于居中位置。

首先看台积电。

该晶圆代工龙头的2nm制程将包括N2、N2P和N2X三个版本,预计2025下半年开始量产其第一代GAAFET N2节点芯片,2nm的下一个版本N2P将在 2026年底量产。与英特尔不同,台积电的这两个版本2nm工艺没有使用背面供电技术,不过,整个N2系列将增加台积电新的NanoFlex功能,该功能允许芯片设计人员在同一模块中匹配来自不同库(高性能、低功耗、不同面积)的单元,以提高性能或降低功耗。

打开网易新闻 查看更多图片

为了控制成本,台积电将使用GAAFET晶体管架构,而不是传说中的互补式场效应晶体管(CFET)。

台积电的3nm工艺已经支持一种称为FinFlex的功能,它也允许设计人员使用来自不同库的单元,不过,N2依赖于GAAFET纳米片晶体管,使NanoFlex提供了一些额外的控制能力,可以优化性能和功率的通道宽度。

与N3E相比,台积电预计N2在相同功率下可将性能提高10%~15%,或在相同频率和复杂性下将功耗降低25%~30%。

N2之后将是性能增强型N2P,以及2026年的电压增强型N2X。尽管台积电曾表示N2P将在2026年增加背面供电网络(BSPDN),但看起来情况并非如此,N2P将使用常规供电电路,具体原因尚不清楚。

N2仍有望采用与电源相关的创新,也就是超高性能金属绝缘体金属(SHPMIM)电容器,这种电容器可以提高电源稳定性,SHPMIM电容器的容量密度是台积电现有超高密度金属绝缘体金属(SHDMIM)电容器的两倍多。

下面看三星。

三星也将于2025年量产2nm(SF2)制程芯片,然后于2026年采用背面供电技术。与3nm工艺(SF3)相比,三星的2nm工艺性能提升12%,功率效率提升25%,面积减少5%。

打开网易新闻 查看更多图片

相对于台积电而言,三星公布的2nm制程工艺技术细节不多,不知道量产时是否会有什么大招放出。

最后看英特尔。

2024年初,在发布其“四年内五个节点”计划(称为“5N4Y”)时,英特尔介绍了其20A(2nm级)制程技术,该工艺计划在2025年初投入生产。

据悉,英特尔的20A引入了RibbonFET GAA晶体管和PowerVia背面供电技术,而之后的升级版本18A则将改进这两种技术。该公司是背面供电技术的积极倡导者,并在不断改进,因此,预计英特尔也将会在2nm制程技术领域有较多创新。

英特尔将比台积电早两年使用背面供电技术,此外,在使用GAA晶体管架构方面,也比台积电早一年半的时间。但是,是否可以将这些新技术转化成实实在在的量产优势,关键还是要看英特尔能否将PPA(性能、功耗、面积)优化到位。

02

数字游戏

2024年3月,三星电子通知客户和合作伙伴,宣布将新版第二代3nm工艺改名为2nm。三星称,该工艺将在今年年底量产。

一位IC设计业内人士表示:“我们收到了三星电子的通知,他们正在将第二代3nm制程更名为2nm。我们去年与三星电子代工厂签订的第二代3nm制程合同也将更名为2nm,因此,我们需要在不久的将来重写合同。”

有业内人士表示,三星第二代3nm制程减小了晶体管尺寸,很大程度上是为了满足营销的需要。

台积电在IEEE国际电子元件会议(IEDM)上揭露,将继2nm后,推出1.4nm制程,且延续将2nm正式命名为A20的做法,1.4nm被命名为A14,预计2027~2028年量产。

三星紧追台积电不放,宣布计划2027年量产1.4nm制程。

英特尔对制程的命名则一改多年前的“倔强”,不再固守摩尔定律的绝对规范,而是考虑到更多的商业化拓展需求,让制程节点听起来更接近市场和客户习惯。因此,该公司CEO基辛格在主持英特尔创新日台北场时重申,Intel 7已进入量产阶段,Intel 4现已量产准备就绪,Intel 3也会按计划于今年底推出。他在现场展示了以Intel 20A试产出的晶圆,预计将用于2025年推出的Arrow Lake处理器,Intel 18A也将有望在2025下半年进入量产阶段。

目前,对于5nm及以下的先进制程工艺,出现了越来越多的“数字游戏”,这也是竞争压力下的产物,由于台积电的先进制程在业内深入人心,要想提升竞争力,获得更多市场份额,就不得不顺应市场需求和客户习惯,才有助于拓展市场空间。

03

2nm制程量产的挑战

2025年是2nm制程量产元年,真正的较量恐怕会出现在2026年。对于台积电、三星、英特尔,以及日本的Rapidus来说,依然需要解决各自的问题,才能将量产工作铺开。

台积电的高成本

International Business Strategies(IBS)的分析师认为,与3nm处理器相比,2nm芯片成本将增长约50%。

IBS估计,一个产能约为每月50000片晶圆(WSPM)的2nm产线的成本约为280亿美元,而具有类似产能的3nm产线的成本约为200亿美元。增加的成本,很大一部分来自于EUV光刻设备数量的增加,这将大大增加每片晶圆和每个芯片的生产成本,而能够接受如此高成本芯片的厂商,只有苹果、AMD、英伟达和高通等少数几家。

IBS估计,2025~2026年,使用台积电N2工艺加工单个12英寸晶圆将花费苹果约30000美元,而基于N3工艺的晶圆成本约为20000美元。

随着对AI处理器需求的增加,英伟达在台积电收入中的份额会在2024年增加,该公司已经预订了台积电晶圆代工和CoWoS封装产能,以确保其用于AI的优质处理器的稳定供应。今年,AMD在台积电总营收中的份额有望超过10%。

正是有苹果、英伟达、AMD等大客户下单,台积电才会大规模投资最先进制程,否则,像2nm这样烧钱的制程产线,是很难持续支撑下去的。但是,就目前的情况来看,台积电对2024全年的晶圆代工市场预判较为保守,认为之前的预估过于乐观了,之前预估该行业年增长20%左右,现在看来,增长率可能只有10%左右。在这种情况下,虽然有大客户的订单,也必须控制一下成本和资本支出了。

目前,台积电正在全方位的控制成本,包括EUV设备的支出,电能的节省等。虽然在2nm制程成本方面,其它几家厂商也会面临成本问题,但为了追赶台积电,三星和英特尔似乎在成本方面没有台积电那么敏感。另外,由于台积电要在美国新建至少两座先进制程晶圆厂,这给它带来了很多额外的成本压力。因此,台积电的2nm制程产线必须精打细算。

三星的良率问题

对于晶圆代工来说,良率非常重要,它直接影响生产成本和客户认可度。

自从进入5nm制程时代以来,良率一直是三星晶圆代工业务所面对的最大问题,特别是在3nm制程节点上,三星率先引入了全新的GAA架构晶体管,与以往使用的FinFET晶体管有较大区别,也使良率问题进一步放大。

据Notebookcheck报道,三星的3nm工艺良率在50%附近徘徊,依然有一些问题需要解决。三星2023年曾表示,其3nm工艺量产后的良率已达到60%以上,不过,现在看来,当时过于乐观了。

今年2月,据韩媒报道,三星新版3nm工艺存在重大问题,试产芯片均存在缺陷,良率为0%。报道指出,采用3nm工艺的Exynos 2500芯片因缺陷未能通过质量测试,导致后续 Galaxy Watch 7的芯片组也无法量产。报道指出,由于Exynos 2500芯片试产失败,三星推迟了大规模生产,目前,尚不清楚是否能够及时解决良率问题。

为了追赶台积电,三星的3nm制程工艺采取了比较激进的策略,主要体现在GAA晶体管架构上,台积电的3nm依然采用FinFET。2nm才会转向GAA晶体管,激进的结果就是要在良率方面付出一些代价。

如果3nm的良率问题不能解决,2nm恐怕会出现同样的问题。

英特尔的客户

对于晶圆代工业新进入者,英特尔面临的最大问题当然是客户认可度,特别是像2nm这样先进的制程工艺,对于在10nm以下晶圆代工市场鲜有量产经验和出货量的厂商来说,在与台积电和三星的竞争中,如何抢夺客户,特别是有较大出货量需求的客户(2nm成本很高,若出货量小,根本不可能盈利),是一大挑战。

Rapidus的挑战

日本Rapidus晶圆厂的2nm制程工艺源自IBM。Rapidus派遣工程师前往IBM在纽约的奥尔巴尼纳米技术中心进行研发,并与IBM以外的合作伙伴合作开发2nm工艺,目标是在2020年代后期进行大规模生产。

与英特尔类似,Rapidus是晶圆代工业的新进入者,大规模生产工艺研发是从零开始的,客户认可度是一大挑战。

假设已经投资Rapidus的丰田汽车、电装、NTT、IBM等公司将他们需要的先进制程芯片交由Rapidus代工生产,但是,这些厂商能有多少2nm制程芯片需求?而将先进制程芯片外包给台积电、三星等晶圆代工厂的客户,如苹果、高通、AMD、英伟达和联发科,才是出货量大户,他们能否选择Rapidus,关键在于这些大客户能够充分了解并认可Rapidus的工艺水平,以及所创造的附加值,如果不能的话,他们很难将订单从台积电和三星那里转出。

另外,仅仅在奥尔巴尼学到了IBM的2nm工艺,并不意味着可以直接将其应用于Rupidus晶圆厂的大规模生产,有很多工程问题需要解决,这不是一朝一夕的事情。

还有一个问题是Rapidus能获得多少EUV设备,这对2nm制程芯片量产至关重要。ASML在2022年的EUV光刻设备出货量约为55台,2023年的产能提高到60台以上,到2025年可达到90台左右。但是,随着制程工艺的特征尺寸小越来越小,EUV光刻的层数会持续增加,其它几家晶圆厂会持续争夺EUV设备,Rapidus能得到多少呢?

04

结语

据韩媒报道,台积电总裁魏哲家没有出席23日在台北举行的台积电2024年技术论坛,是因为他前往欧洲秘密访问ASML荷兰总部和德国工业激光大厂TRUMPF。

为了冲刺先进制程晶圆代工,英特尔已成为ASML首台最新型High-NA EUV(高数值孔径EUV光刻机)的买家。台积电高层原本表示,其2nm和A16制程节点并不需要High-NA EUV,因为它太贵了。但据BusinessKorea报道,台积电总裁魏哲家这次秘密飞往荷兰,是与ASML商讨EUV设备事宜。

虽然魏哲家的访欧行程是保密的,但ASML新任CEO富凯(Christophe Fouquet)和TRUMPF公司CEO卡穆勒(Nicola Leibinger-Kammüller)都在社群媒体上曝光了魏哲家到访的消息。富凯直言,ASML向魏哲家介绍了公司的最新技术与产品,包括High-NA EUV设备将如何实现未来半导体的微制程工艺技术。

这可以从一个侧面反映出台积电、英特尔和三星争夺新一代光刻设备的竞争在升温,2nm及以下先进制程的竞赛已经开打。